博客
关于我
强烈建议你试试无所不能的chatGPT,快点击我
UVM:7.6.1 检查后门访问中hdl 路径的sequence
阅读量:4219 次
发布时间:2019-05-26

本文共 347 字,大约阅读时间需要 1 分钟。

1.UVM 提供了一系列sequence用于检查寄存器模型及DUT 的寄存器。其中uvm_reg_mem_hdl_paths_seq 检查hdl 路径的正确性。原型为:

此sequence 的运行依赖于在基类uvm_sequence 中定义的一个变量:

2.启动此sequence 时必须给model 赋值。在任意的sequence 中,可以启动此sequence:

1)start 传入的sequencer 为null。因为不依赖这个sequencer,而依赖于model 变量。

2)这个sequence 会试图读取hdl 所指向的寄存器,如果无法读取,报错。

3)还可以检查存储器。

4)如果某个寄存器,存储器在加入寄存器模型时没有指定其hdl 路径,那么检查时会跳过这个寄存器,存储器。

你可能感兴趣的文章
Java与Oracle日期格式化
查看>>
fastjson学习
查看>>
Spring 自定义标签创建
查看>>
Spring容器启动流程(上)
查看>>
Spring注解详解
查看>>
Spring容器启动流程(下)
查看>>
Spring Bean初始化实例
查看>>
深入理解Spring AOP实现
查看>>
Spring 事务管理
查看>>
重学多线程(一)—— 线程简介
查看>>
重学多线程(二)—— 线程间的通信
查看>>
重学多线程(三)—— 锁
查看>>
重学多线程(四)—— 线程池
查看>>
重学Java集合类(一)—— 集合类简介
查看>>
重学多线程(五)—— Unsafe类
查看>>
重学Java集合类(二)—— List接口
查看>>
重学Java集合类(三)—— Map接口(上)
查看>>
重学Java集合类(三)—— Map接口(下)
查看>>
重学Java集合类(四)—— Set接口
查看>>
重学Java集合类(五)—— 集合类的遍历
查看>>